Electronics trends for 2015

Here are my collection of trends and predictions for electronics industry for 2015:

The computer market, once the IC growth driver per se, apparently is approaching saturation status. Communications industry is still growing (6.8%.). Automotive V2X, LED lighting and smart domestic objects are set to drive semiconductor market growth through the year 2020, according to market analysis firm Gartner.

Car electronics will be hot in 2015. New cars will have more security features, smart infotainment and connectivity in them. It is an are where smart phone companies are pushing to. Automotive Industry Drives Chip Demand article says that until 2018, the IC demand from automotive customers is expected to exhibit the strongest average annual growth — 10.8% on average. This is significantly higher than the communications industry, at second place with 6.8%. Demand drivers include safety features that increasingly are becoming mandatory, such as backup cameras or eCall. But driver-assistance systems are also becoming ubiquitous. Future drivers will include connectivity, such as vehicle-to-vehicle communications, as well as sensors and controllers necessary for various degrees of autonomous driving.

Power electronics is a $90 billion-per-year market. The market for discrete power electronics is predicted to grow to $23 billion by 2024 from $13 billion today. Silicon rules power electronics industry, but new materials are pushing to headlines quickly. In the power electronics community, compound semiconductors such as gallium nitride (GaN) are drawing more attention as they try to displace silicon based power devices, which have been doing the heavy lifting for the past 30 years or so. While silicon-based devices are predicted to remain predominant with an 87% share of the market, it is expected that SiC- and GaN-based components to grow at annual rates of 30% and 32%, respectively. There’s no denying the cost advantages that silicon possesses.

Chip designs that enable everything from a 6 Gbit/s smartphone interface to the world’s smallest SRAM cell will be described at the International Solid State Circuits Conference (ISSCC) in February 2015. Intel will describe a Xeon processor packing 5.56 billion transistors, and AMD will disclose an integrated processor sporting a new x86 core, according to a just-released preview of the event. The annual ISSCC covers the waterfront of chip designs that enable faster speeds, longer battery life, more performance, more memory, and interesting new capabilities. There will be many presentations on first designs made in 16 and 14 nm FinFET processes at IBM, Samsung, and TSMC.

There is push to go to even smaller processes, and it seems that next generation of lithography equipment are started to being used. Earlier expectation was for chipmakers to use traditional immersion lithography for production of 10 nm chip, but it seems that extreme ultraviolet (EUV) scanners that allows allow scaling to 10 nm or even smaller is being used. TSMC to Use EUV for 7nm, Says ASML. Intel and TSMC have been injecting money in ASML to push process technology.

2015 promises to see initial FPGA product releases and (no doubt) a deluge of marketing claims and counter-claims. One thing is certain: 2015 will not be boring. There will be FPGA products that use processes beyond 20nm, for example Altera and  Xilinx have committed to use the TSMC 16nm FinFET technology. There is  publicized (and rumored) race to get to production at 14nm has seen time frames for initial samples move into 2015. However, with both FPGA companies reporting gross margins of close to 70 percent, it would be possible for either company to take an initial hit on margin to gain key socket wins.

It seems that the hardware becomes hot again as Wearables make hardware the new software. Apple invest its time when it released the Apple Watch last quarter, going up against the likes of Google’s Android Wear and others in the burgeoning wearables area of design. Once Apple’s bitten into a market, it’s somewhat a given that there’s good growth ahead and that the market is, indeed, stable enough. As we turn to 2015 and beyond  wearables becomes an explosive hardware design opportunity — one that is closely tied to both consumer and healthcare markets. It could pick up steam in the way software did during the smartphone app explosion.

There will be more start-up activity within hardware sector. For recent years, the software has been on the main focus on the start-ups, and the hardware sector activity has been lower. Hardware sector has seem some start-up activity with many easy to use open hardware platforms became available (make development of complex devices easier and reachable for smaller companies). The group financing (Kickstarter, Indiegogo, etc.) have made it possible to test of new hardware ideas are market-worthy and get finance to get them to production.

EEs embrace hackathons aand accelerators. Design 2.0 is bubbling up in the engineering community, injecting new energy into the profession. In many ways, it’s the new Moore’s Law. Easy to use open hardware development platforms have made it possible to design working hardware device prototypes within hackathons.

Silicon Startups Get Incubator article tells that there will be new IC start-up activity as semiconductor veterans announced plans for an incubator dedicated to helping chip startups design their first prototypes. Keysight, Synopsys, and TSMC have signed exclusive deals to provide tools and services to the incubator. Silicon Catalyst aims to select its first batch of about 10 chip startups before April.

MEMS mics are taking over. Almost every mobile device has ditched its old-fashioned electret microphone invented way back in 1962 at Bell Labs. Expect new piezoelectric MEMS microphones, which promise unheard of signal-to-noise ratios (SNR) of up to 80 dB (versus 65 dB in the best current capacitive microphones) in 2015. MEMS microphones are growing like gangbusters.Also engineers have found a whole bunch of applications that can use MEMS microphone as a substitute for more specialized sensors starting in 2015.

There will be advancements in eco-design. There will be activity within Europe’s Ecodesign directive. The EC’s Ecodesign Working Plan for 2015-2017 is currently in its final study stages – the plan is expected to be completed by January 2015. The chargers will be designed for lower zero load power consumption in 2015, as on February 2016, after the 5-watt chargers are no longer at no load connected consume more than 0.1 watts of power. Socket for power supplies values ​​are defined in the new Energy Star standard VI.

LED light market growing in 2015. Strategies Unlimited estimates that  in 2014 the LED lamps were sold $ 7 billion, or about 5.7 billion euros. In 2019 the LED lamps will already sold just over 12 billion euros. LED technology will replace other lighting technologies quickly. For those who do not go to the LED Strategies Unlimited permission difficult times – all other lamp technologies, the market will shrink 14 percent per year.  The current lighting market growth is based on LED proliferation of all the different application areas.

IoT market is growing fast in 2015. Gartner is predicting a 30 percent compound annual growth rate for the IoT chip market for the period 2013 to 2020. The move to create billions of smart, autonomously communicating objects known as the Internet of Things (IoT) is driving the need for low-power sensors, processors and communications chips. Gartner expects chips for IoT market to grow 36% in 2015 (IoT IC marker value in 2014 was from $3.9 billion to $9 billion depending how you calculate it). The sales generated by the connectivity and sensor subsystems to enabled this IoT will amount $48.3 billion in 2014 and grow 19 percent in 2015 to $57.7 billion. IC Insights forecasts that web-connected things will account for 85 percent of 29.5 billion Internet connections worldwide by 2020.

With the increased use of IoT, the security is becoming more and more important to embedded systems and chip designers. Embedded systems face ongoing threats of penetration by persistent individuals and organizations armed with increasingly sophisticated tools. There is push for IC makers to add on-chip security features to serve as fundamental enablers for secure systems, but it is just one part of the IoT security puzzle. The trend toward enterprise-level security lifecycle management emerges as the most promising solution for hardened security in embedded systems underlying the explosive growth of interconnected applications. The trend continues in 2015 for inclusion of even more comprehensive hardware support for security: More and more MCUs and specialized processors now include on-chip hardware accelerators for crypto operations.

Electronics is getting smaller and smaller. Component manufacturers are continually developing new and smaller packages for components that are mere fractions of a millimeter and have board to component clearances of less than a mil. Components are placed extremely close together. No-lead solder is a relatively recent legislated fact of life that necessitated new solder, new fluxes, higher temperatures, and new solder processing equipmentTin whisker problems also increased dramatically. You should Improve device reliability via PCB cleanliness, especially if you are designing something that should last more then few years.

Photonics will get to the circuit board levels. Progress in computer technology (and the continuation of Moore’s Law) is becoming increasingly dependent on faster data transfer between and within microchips. We keep hearing that copper has reached its speed limit, and that optics will replace copper for high-speed signals. Photonics now can run through cables, ICs, backplanes, and circuit boards. Silicon chips can now have some optical components in them using silicon photonics technologies. For more than 10 years, “silicon photonics” has attracted significant research efforts due to the potential benefits of optoelectronics integration. Using silicon as an optical medium and complementary metal-oxide semiconductor fabrication processing technology, silicon photonics allows tighter monolithic integration of many optical functions within a single device.

Enter electro-optical printed circuits, which combine copper and optical paths on the same board. Electro-optical PCBs use copper for distributing power and low-speed data, and optical paths for high-speed signals. Optical backplane connectors have been developed, as well as a technique to align the small waveguides to transceivers on the board. The next challenge is to develop waveguides on to boards where the tight bends don’t degrade performance to unacceptable levels.

3D printing will continue to be hot. Additive manufacturing, can build complex prototypes, parts, tools, and models in various materials for a variety of uses, and is quickly expanding beyond making one-off products to the space industry. The major space agencies have all taken notice of additive manufacturing as a key enabling technology, and so should you.

3D printing will bring structural electronics. With 3D printing hot in the news, and conformable, flexible, or even printed electronics fitting any shape, it is only a matter of time before electronic circuits can be laid-out as part of the 3D-printing process, the electronic framework becoming an integral supporting part of any object’s mechanical structure. For example “structural batteries” have already been implemented in electric cars, in racing-car aerofoils, and in the Tesla pure electric car.

Superconductors are heating up again.  Superconductivity will be talked again in 2015 as there were some advancements in the end of 2014. A group of international scientists working with the National Accelerator Laboratory in Menlo Park, Calif., have discovered lasers that can create conditions for superconductivity at temperatures as high at 140°F. The Massachusetts Institute of Technology (MIT) has discovered a law governing thin-film superconductors, eliminating much of the trial and error for companies that manufacture superconducting photodetector. With MIT’s new mathematical law, new superconducting chips can be designed with the correct parameters determined ahead of time.

For more trends and predictions you should also read Hot technologies: Looking ahead to 2015 and IEEE: Top 10 technology trends for 2015 articles.

1,206 Comments

  1. Tomi Engdahl says:

    Rod Logic and Graphene: Elusive Molecule-Scale Computers
    http://hackaday.com/2015/10/19/rod-logic-and-graphene-elusive-molecule-scale-computers/

    The Road Ahead

    It seems the big question isn’t if minuscule computers will exist, but rather will they be electrical or will they be millions of little quasi-slide rules functioning in a volume the size of a ball bearing? If the future is graphene, I am betting on mechanics. The almost superconducting nature of graphene will make it useful for applications like solar cells, but likely won’t be helpful for making electronic switches, even with doping.

    Reply
  2. Tomi Engdahl says:

    ARM acquires virtual prototyping outfit Carbon to boost SoC production
    Will enable Cambridge firm to get new solutions to market quicker
    http://www.theinquirer.net/inquirer/news/2431197/arm-acquires-virtual-prototyping-outfit-carbon-to-boost-soc-production

    CAMBRIDGE CHIP DESIGNER ARM has scooped up the product portfolio and other business assets of Carbon Design Systems, a supplier of cycle-accurate virtual prototyping solutions.

    The deal, financial terms of which have not been disclosed, will see Carbon’s staff transfer to ARM, where the chip firm will make use of the Massachusetts-based outfit’s expertise in virtual prototypes.

    This will enable ARM to iron out any bugs and make improvements to chips before they move to foundry for production, in turn giving designers access to ARM IP earlier in the design cycle and getting new SoC solutions to market faster.

    ARM also said that Carbon will help the firm enhance its capability in SoC architectural exploration, system analysis and software bring-up.

    Reply
  3. Tomi Engdahl says:

    AXIe specification gets an upgrade
    http://www.edn.com/electronics-blogs/test-cafe/4440585/AXIe-specification-gets-an-upgrade?_mc=NL_EDN_EDT_EDN_today_20151020&cid=NL_EDN_EDT_EDN_today_20151020&elq=12ca2c7db3ee4795bb61eb57433eb2c5&elqCampaignId=25305&elqaid=28777&elqat=1&elqTrackId=cad5aa3122c24c5c8e79d75459bf1f12

    Earlier this month, the AXIe Consortium added new capabilities to the AXIe Base Specification. If you are unfamiliar with AXIe, it is a modular instrument standard best described as the “big brother to PXI.”

    the modules are larger than PXI and typically placed horizontally in a chassis. These larger modules allow power dissipation up to 200 watts/slot, suitable for high-speed data converters and digital test.

    So what did the AXIe Consortium change in the AXIe-1 specification? There are two substantial changes to the spec. First, it allowed expansion of the PCIe fabric from 4 lanes to 16 lanes, quadrupling data bandwidth. This capability is known as “Wide PCI Express.” Second, it made provisions for lower cost LAN-based modules.

    AXIe-0 significantly reduces the overhead costs of a modular system, while retaining the large board size

    The change had to do with how AXIe-1 chassis would support unmanaged LAN instruments.

    AXIe-0 allows modules to eliminate management if they 1) are not PCIe-based, and 2) require fewer than 50 watts of power or cooling per slot. This applies to LAN-based modules or even modules that have no communication at all. The new AXIe-1 specification adds a requirement to support such modules, allowing all AXIe-0 modules to work in AXIe-1 chassis.

    you should expect to see more and more Wide PCI Express in 2016.

    Reply
  4. Tomi Engdahl says:

    Handy results put ARM on track for a muscular year
    More fingers being placed in various technology pies
    http://www.theregister.co.uk/2015/10/21/arm_on_track_for_a_muscular_year/

    Chip designer ARM has posted another period of growth, with revenue up 17 per cent to $375.5m (£243m) for the third quarter, when compared with the previous year.

    Meanwhile, third-quarter pre-tax profit climbed 27 per cent to £128.4m, compared with the same period last year.

    ARM appears to be on track for a record year

    Yet, that didn’t stop its shares being dragged down by Apple’s (a company whose fortunes are closely coupled with its own) “disappointing” results.

    As such, it seems ARM is keen to breakout from its dependency on the smartphone market.

    The company has already been undergoing a refresh of its chips, having yesterday unveiled its Mali-470 processor aimed at the “wearables” and the “internet of things” (IOT) market.

    It also bolstered its IoT offering by snapping up Israeli IoT company Sansa earlier this year. Last month it also signed up to IBM’s IoT Foundation.

    Reply
  5. Tomi Engdahl says:

    Shunt-based module measures up to 375 A
    http://www.edn.com/electronics-products/other/4440603/Shunt-based-module-measures-up-to-375-A?_mc=NL_EDN_EDT_EDN_productsandtools_20151019&cid=NL_EDN_EDT_EDN_productsandtools_20151019&elq=244f92701065464cb859287a6a6bd000&elqCampaignId=25290&elqaid=28761&elqat=1&elqTrackId=59607448c7ee4002844c41195b78d43e&gated=1

    Combining the Sendyne SFP102 sensing IC with a Vishay 100-µΩ shunt in a molded package, the SFP102MOD measures up to 375 A peak with an accuracy of ±0.25% over the device’s entire operating temperature range of -40°C to +125°C.

    The SFP102MOD achieves a resolution of 45 µA. When attached to properly sized cables/bus bars, the SFP102MOD operates with 200 A of continuous current, producing less than a 45°C temperature rise. It features built-in coulomb counting (charge accumulation), with separate counters for charge, discharge, and total coulombs to enable accurate state-of-charge estimation.

    Operating from a supply voltage of 3.3 V to 12 V

    Reply
  6. Tomi Engdahl says:

    Shanghai Seeks ‘More-than-Moore’ in Silicon Valley
    “Angel investors like us have stepped up.”
    http://www.eetimes.com/document.asp?doc_id=1328048&

    In a global quest for “More-than-Moore” innovations, the Shanghai Industrial Technology Research Institute (SITRI) has come to Silicon Valley to open a hardware accelerator in Belmont, Calif.

    The Chinese research institute designed, built and recently opened an incubator, called SITRI Innovations, to support hardware entrepreneurs who are developing and commercializing “More-than-Moore” devices.

    SITRI’s goal is to go beyond the process-node driven CMOS technologies that have defined the IC industry for decades and helped propel PC and smartphone market growth.

    Reply
  7. Tomi Engdahl says:

    Lam, KLA May Leapfrog Applied
    $10.6B deal combines process, control
    http://www.eetimes.com/document.asp?doc_id=1328079&

    Following the consolidation of semiconductor makers, two giants who make capital equipment for them — Lam Research Corp. and KLA-Tencor Corp. — announced plans for a $10.6B merger. One analyst was quick to hail the deal as putting the merged company ahead of its archrival Applied Materials.

    The deal aims to combine Lam’s process and KLA’s process control systems “to increase [semiconductor] yields and reduce variability at the atomic level,” said Martin Anstice, Lam’s chief executive who would become CEO of the combined company.

    The deal comes at a time when fewer companies are pursuing the increasingly costly march of Moore’s Law to smaller, higher performance chips. For their next-generation products, chip makers must absorb increased multi-patterning, 3-D processing, system-in package and next-generation memories, said Anstice.

    “The ability to differentiate increasingly comes at the intersection of process and process control,”

    Reply
  8. Tomi Engdahl says:

    5nm Test Lights Litho Path
    Hybrid 193i, EUV seen as best approach
    http://www.eetimes.com/document.asp?doc_id=1327919

    Two 5nm test chips pushed to their limits today’s 193-immersion and future extreme ultraviolet lithography. The tests confirm using a mix of 193i and EUV techniques likely is the best approach as the industry drives toward the limits of Moore’s Law.

    The Imec research institute in Belgium and Cadence Design Systems partnered on the test chips that involved SRAM and placed-and-routed processor cores. The chips were made with line pitches as small as 24nm, close to the theoretical limit of a quad-patterned immersion process.

    Researchers tested three approaches to making the chips – using all 193i steppers, using all EUV and using a mix of 193i and EUV systems.

    The 193i-only approach was potentially the most expensive, requiring quad patterning for metal layers and triple patterning for vias. (Extra passes require more time, mask layers and thus cost.) The all-EUV approach needed fewer layers and supported better area, power and performance but was not practical given the still immature state of EUV systems.

    Reply
  9. Tomi Engdahl says:

    Intel to Manufacture 3D NAND in China
    http://www.eetimes.com/document.asp?doc_id=1328078&

    Intel Corp. will spend up to $5.5 billion to equip its fab in China to build 3D NAND flash for its solid state drives (SSDs), the company said Tuesday (Oct. 20).

    Intel (Santa Clara, Calif.) said the first production of 3D NAND at the fab—located in the Northeast China city of Dalian—is expected to begin in the second half of 2016.

    In March, Intel and partner Micron Technology Inc. announced the availability of jointly developed 3D NAND, billed as the world’s highest density flash memory. 3D NAND stacks layers of data storage cells vertically to create storage devices with higher capacity than competing NAND technologies. The devices were presumably going to be built in Micron fabs.

    China is widely believed to be pushing hard to establish memory chip manufacturing within the country.

    It is not known whether Intel is receiving government financial support to help fund the transition to 3D NAND production at the Dalian fab. A spokesman for Intel declined to comment.

    Reply
  10. Tomi Engdahl says:

    Home> Tools & Learning> Products> Product Brief
    In-mold electronic inks enable control integration
    http://www.edn.com/electronics-products/other/4440649/In-mold-electronic-inks-enable-control-integration?_mc=NL_EDN_EDT_EDN_today_20151021&cid=NL_EDN_EDT_EDN_today_20151021&elq=36a8cbce411a4755bb47bc3137281c90&elqCampaignId=25329&elqaid=28802&elqat=1&elqTrackId=f0d02a3b12c34b58bafb8fddc7a33db9

    DuPont Microcircuit Materials is launching a suite of in-mold electronic inks designed to help streamline electronic devices by reducing the need for rigid circuit boards.

    By printing circuits directly onto plastic substrates, touch controls, such as electronic buttons, switches and slides, are readily integrated in applications such as home appliances and automobiles. The inks offer important design, manufacturing, weight and cost advantages and mark the further expansion of DuPont advanced materials enabling printed electronics.

    DuPont Microcircuit Materials
    http://www.dupont.com/products-and-services/electronic-electrical-materials/uses-and-applications/microcircuit-materials.html

    Reply
  11. Tomi Engdahl says:

    Measure twice cut once: Choosing the correct ADC analog input type to reduce risk of redesign
    http://www.edn.com/design/analog/4440652/Measure-twice-cut-once–Choosing-the-correct-ADC-analog-input-type-to-reduce-risk-of-redesign?_mc=NL_EDN_EDT_EDN_analog_20151022&cid=NL_EDN_EDT_EDN_analog_20151022&elq=138cb78e71b1492e838f5fa2458c17d0&elqCampaignId=25344&elqaid=28825&elqat=1&elqTrackId=3322acc5a366466881ba21e39835e4f8

    Successive approximation register analog to digital converters, better known as SAR ADCs, are a versatile class of analog to digital converters that produce a digital discrete time representation of a continuous analog waveform. From a high level, the SAR ADC is a versatile, easy-to-use, completely asynchronous data converter. Still, there are some choices that need to be made when deciding which converter is to be used for a particular application. Here specifically we will focus on the analog input signal types available to the signal chain designer. It should be noted however that even though the focus here is on SAR ADCs, the input types are universal to all ADC architectures.

    Depending upon the source type or the overall goals of the circuit under consideration, certain design decisions and tradeoffs will need to be made. The simplest solution would be to match the ADC input type to the signal source output configuration. However, the source signal may require conditioning that changes the signal type or there could be cost, power or area considerations that influence analog input type decisions.

    Reply
  12. Tomi Engdahl says:

    Burn-in oven mastery leads to consistent & accurate results
    http://www.edn.com/design/test-and-measurement/4440598/Burn-in-oven-mastery-leads-to-consistent—accurate-results?_mc=NL_EDN_EDT_EDN_today_20151022&cid=NL_EDN_EDT_EDN_today_20151022&elq=3b66411f819b45ae827e1adbf192dc4b&elqCampaignId=25347&elqaid=28828&elqat=1&elqTrackId=4b1b585fad0b49178af0463ae5fff8a0

    Temperature is the most commonly measured, understood, and controlled physical parameter. Therefore, it would seem that setting up a burn-in oven which meets thermal operating objectives is a straightforward project. However, there are several factors which must be considered to achieve accurate, meaningful, and consistent burn-in results when establishing such a set-up for thermal performance of a device under test (DUT). While the electronics and firmware of temperature control have greatly improved in recent years, along with more intuitive user interfaces and calibration, there is much more to a successful implementation.

    Reply
  13. Tomi Engdahl says:

    Further Details of FinFET ReRAM Released
    http://www.eetimes.com/document.asp?doc_id=1328096&

    Some further details have been released of the resistive RAM made using a 16nm logic FinFET manufacturing process. A full paper is due to be presented on a 1-kbit memory array of such devices at this year’s International Electron Devices Meeting (IEDM) coming up in December.

    Paper 10.5, 1Kbit FinFET Dielectric (FIND) RRAM in Pure 16nm FinFET CMOS Logic Process, written by Hsin Wei Pan et al. of Tsing Hua University in Taiwan but also attributed to multiple authors from foundry TSMC.

    The memory cell size is given as 265nm by 285nm, an area of 0.07632 square microns and comes with the particular benefit of not requiring any additional mask or process steps above that of the conventional logic process.

    Reply
  14. Tomi Engdahl says:

    TI Staying Above Consolidation Fray
    http://www.eetimes.com/document.asp?doc_id=1328094&

    Texas Instruments Inc.’s chief financial officer said Wednesday (Oct. 21) that the company doesn’t have immediate plans to join the consolidation frenzy currently taking place in the semiconductor industry.

    In a conference call with analysts following TI’s better-than-expected third quarter financial report, CFO Kevin said TI was “probably ahead of the game” when it acquired National Semiconductor Corp. in 2011.

    “When we look at what most companies are doing today from an M&A front, it appears that some of them may be changing their focus, and some of them may be trying to build scale,” March said.

    TI (Dallas) reported third quarter sales of $3.43 billion for the third quarter, down about 2% from the third quarter of 2014. The company reported a net income for the quarter of $798 million, or 76 cents per share, down 3% compared with the third quarter of 2014. Both numbers generally exceeded consensus analysts’ expectations.

    ”While our overall demand was weak, revenue was stronger than we expected,”

    Reply
  15. Tomi Engdahl says:

    The semiconductor industry has undergone a period of unprecedented merger and acquisition activity over the past 18 months. Two more deals were announced Wednesday: Western Digital Corp. said it plans to buy NAND flash maker SanDisk Corp. for $19 billion and chip equipment suppliers Lam Research Corp. and KLA-Tencor Corp. announced a $10.6 billion merger.

    In the first half of 2015 alone, the value of semiconductor acquisition deals was valued at about $72.6 billion, more than six times the annual average for M&A deals struck during the five previous years, according to market research firm IC Insights Inc.

    Source: http://www.eetimes.com/document.asp?doc_id=1328094&

    Reply
  16. Tomi Engdahl says:

    AMD Unveils 64-bit x86 SOC for High-Performance Embedded
    http://www.eetimes.com/document.asp?doc_id=1328101&

    Combining a graphics processing unit (GPU), power management, and the 64-bit x86 processor core called “Excavator,” the Embedded R-series processors announced by Advanced Micro Devices (AMD) this week target a range of application markets from digital signage, high-end gaming, and media storage to industrial control and communications networking. The devices also provide forward-looking support for DDR4 memory and follow the HSA (Heterogeneous System Architecture) Foundation’s Platform System Architecture Specification 1.0 for efficiency in parallel processing.

    The new Embedded R-Series SOCs combine AMD’s next-generation x86 cores with its third-generation Graphics Core Next (GCN) architectures for a substantial boost in performance over their previous generation offering

    The advanced power management features of the R-Series SOCs allows a performance boost without an increase in power requirements, as well. “The BIOS and operating system can control the thermal envelope in which the device operates,”

    The processors will support several varieties of Windows as well as Linux from AMD or Mentor Graphics. The Linux drivers and stacks that AMD provides are now all 100% open source, Cureton added. Development tool support includes Mentor’s Sourcery CodeBench IDE and a three-level Linux support: the free, community-sources Yocto, a free evaluation version of Mentor Embedded Linux (MEL Lite), and a MEL commercial version. AMD will also be offering reference boards and development platforms from a variety of partners, Cureton noted, targeting different application spaces.

    Reply
  17. Tomi Engdahl says:

    Foundry Sales Defy IC Decline
    TSMC’s market impact exceeds Intel’s
    http://www.eetimes.com/author.asp?section_id=36&doc_id=1328090&

    The importance of the wafer foundry business continues to grow in the IC industry, according to a new report from one veteran electronics analyst.

    Nearly 38% of worldwide integrated circuit sales to systems manufacturers in 2015 are coming from products fabricated by silicon foundry services compared to 26% in 2010 and about 21% in 2005, based on an analysis by IC Insights in the new 2016 edition of The Foundry Almanac, which is a jointly produced annual report with the Global Semiconductor Alliance (GSA).

    The new report shows total IC foundry sales to fabless semiconductor suppliers, integrated device manufacturers (IDMs), and systems companies reaching a record-high $50.1 billion in 2015, but the revenue growth this year is expected to be about 5%

    Reply
  18. Tomi Engdahl says:

    Today’s Complex Networking Chips Demand Hardware Emulation
    http://www.eetimes.com/author.asp?section_id=36&doc_id=1328089&

    Project teams designing complex switches and routers have turned to hardware emulation as the foundation for their verification strategy to battle network congestion and outages.

    In the past, verification engineers extended simulation runs on networking designs to reach convergence on the range of various configurations. While simulation is still useful at the block level, verification of a design with five-hundred million gates with simulated traffic is impractical. Ethernet switches and routers expanding from 256 to 1,024 ports and ramping throughput to 120 Gbps render simulation inadequate.

    Instead, project teams debugging system-on-chip (SoC) designs for the network have turned to hardware emulation because this practical tool offers high performance, advanced debug capabilities, and power and performance analysis, all of which goes to reduce time to market and improve quality. A key feature of hardware emulation is its ability to test a design with real traffic.

    A modern emulator enables the creation of a block-level to system-level accelerated verification flow for networking to be deployed in datacenters instead of labs by replacing traditional physical devices in the In-circuit Emulation (ICE) mode with virtual devices. Virtualization moves emulation from the engineering lab to the computing datacenter for maximum utilization of emulation resources. In a flash, the various project teams working remotely on that complex networking chip have access to the most versatile verification tool.

    Reply
  19. Tomi Engdahl says:

    Better Capacitors Through Nanotechnology
    http://hackaday.com/2015/10/25/better-capacitors-through-nanotechnology/

    Traditionally, capacitors are like really bad rechargeable batteries. Supercapacitors changed that, making it practical to use a fast-charging capacitor in place of rechargeable batteries. However, supercapacitors work in a different way than conventional (dielectric) capacitors. They use either an electrostatic scheme to achieve very close separation of charge (as little as 0.3 nanometers) or electrochemical pseudocapacitance (or sometime a combination of those methods).

    Unfortunately, for high-performance energy storage, capacitors (of the conventional kind) have a problem: you can get high capacitance or high breakdown voltage, but not both

    [Guowen Meng] and others from several Chinese and US universities recently published a paper in the journal Science Advances that offers a way around this problem. By using a 3D carbon nanotube electrode, they can improve a dielectric capacitor to perform nearly as well as a supercapacitor (they are claiming 2WH/kg energy density in their device).

    The capacitor forms in a nanoporous membrane of anodic aluminum oxide.

    Dielectric capacitors with three-dimensional nanoscale interdigital electrodes for energy storage
    http://advances.sciencemag.org/content/1/9/e1500605.full

    Reply
  20. Tomi Engdahl says:

    Bloomberg Business:
    Sources: Toshiba is close to selling its image sensor business to Sony for $165M — Toshiba Said Near $165 Million Sale of Sensor Business to Sony — Companies said to announce deal as early as next week — Toshiba selling assets after $1.3 billion accounting scandal

    Sony CEO Aims to Build on Recovery With Toshiba Sensor Deal
    http://www.bloomberg.com/news/articles/2015-10-24/toshiba-in-talks-to-sell-image-sensor-business-to-sony-nikkei

    Sony Corp. is looking to expand on its dominant position in making the chips used to capture smartphone pictures, as President Kazuo Hirai makes progress in his turnaround effort at the Japanese consumer electronics maker.

    The company is in talks with Toshiba Corp. to acquire its image sensor business for 20 billion yen ($165 million), said people familiar with the negotiations.

    Hirai is investing in sensors as he counts on the components to drive earnings along with the higher-profile businesses of making consumer electronics, video games and movies. The company leads the global market for chips that smartphones and cameras use to digitize photos and is quadrupling spending on semiconductors to 290 billion yen to keep up with demand from customers including Apple Inc. and Samsung Electronics Co.

    “As the possibility of realizing a sale increases, it is positive for both Sony and Toshiba,”

    Sony controlled about 40 percent of the $8.7 billion market for CMOS image sensors last year, compared with about 16 percent for its next biggest competitor, Techno Systems Research. The market is forecast to climb to about $12 billion by 2019, and the company expects its sales to increase as much as 62 percent to 1.5 trillion yen in three years.

    Acquiring Toshiba’s image sensor business would add about 100,000 units a month to Sony’s capacity

    “The purchase would be an economical way to flesh out its CMOS sensor capacity, currently an area of concern,” wrote Katsura and Shimada.

    Toshiba is looking at options for its semiconductor business

    Reply
  21. Tomi Engdahl says:

    IBM Opens the Door for Carbon Film NV Memory
    http://www.eetimes.com/author.asp?section_id=36&doc_id=1328077&

    This latest work may well have solved the problems that have so far inhibited the development of carbon-based memory and opened the door to the possible use of oxygenated amorphous carbon for non-volatile memory applications.

    IBM (Zurich) and the Swiss Electron Microscopy Center (Empa) have just published [Ref 1] the details of a new non-volatile memory based on a Redox reaction in thin films of oxygenated amorphous carbon (a-COx) produced by physical vapor deposition (PVD). This latest work reports on the results of device measurements and follows the issuing of an IBM patent US20150036413 A1 earlier this year.

    The COx structures.
    The IBM COxRAM planar memory device employs the familiar planar pore structure favored for many types of thin film memory devices

    The memory devices are fabricated on a 500-nm-thick thermal silicon dioxide (SiO2) film formed on a silicon wafer as the substrate.

    Memory operation.
    To bring the memory device to its normal operating state a forming step is required. This consists of applying a triangular shaped pulse of positive polarity to the bottom electrode. When the applied voltage reaches a forming voltage Vf of about 4 to 5 volts, a function of the thickness, the current flowing through the cell abruptly increases, and the cell switches from its virgin state to a low-resistance state (LRS) or SET state. It is also possible to use a sequence of 1μs-wide triangular pulses to form a-COx cells.

    Typical SET pulses would be of 50 ns duration with an amplitude of 5V.
    for RESET pulses of -4V of 10ns duration.

    While the optimum performance is obtained with bidirectional SET/RESET pulses COxRAM devices can also be operated in the first quadrant of the I-V characteristics.

    Reply
  22. Tomi Engdahl says:

    Lam Deal Leaves Open Questions
    http://www.eetimes.com/document.asp?doc_id=1328099

    Lam Research’s proposed $10.6 billion acquisition of KLA-Tencor is generally getting a thumbs up from analysts as one of the good deals amid this year’s flood of semiconductor mergers. Still, the deal raises several unanswered questions.

    Reply
  23. Tomi Engdahl says:

    Can We Get “Printed” Circuit Boards Which Really Are Printed?
    http://www.eetimes.com/author.asp?section_id=36&doc_id=1328104&

    Small components, large ICs, and tight PC boards discourage experimenter prototypes, but a board “printer” being pitched on Kickstarter may solve at least part of the PC-board dilemma.

    Printed-circuit boards (PC boards or PCBs) are literally the foundation of many of our electronic products. These copper-clad boards—often but not always using glass-epoxy FR-4 substrate, but increasing more exotic materials for the GHz+ spectrum—are both the structural support and interconnect medium we rely on the knot together active and passive components and their interconnects. While might be more accurate to call these printed wiring boards or PWBs, and there were attempts to change the standard designation about a decade ago, PWB never caught on.)

    First, some historical background: The first PC boards were developed during WWII, using a ceramic substrate with conductive traces screened onto the surface; the leaded components were inserted and soldered into drilled holes in the ceramic. During the late 1970s and early 1980s, the PC board as we know it today came into production, again with through-hole components

    The use of PC boards with surface-mount technology (SMT) started in the 1990s, and lead pitch and track widths have been shrinking ever since, now down to a few mils (1000s of an inch). Double-sided and multilayer boards, some with over a dozen layers, added to the versatility. Ironically, many low-end consumer products, such as the PC mouse, often use low-cost phenolic as their substrate in single-sided layout with liberal use of jumpers to avoid the need for circuits on the bottom side; the boards are punched rather than drilled, which is quick and further lowers the cost.

    Note that there is really nothing really “printed” about today’s PC boards. Most are made using a subtractive process, where copper is chemically etched from unprotected areas; some use an additive process where copper is plated onto designated areas. There are also boards which are made using fine-dimension mechanical or laser-based milling

    Can we get “printed” circuit boards which really are printed?
    http://www.planetanalog.com/author.asp?section_id=396&doc_id=564078&

    But what if we could really “print” or lay the conductive traces onto the substrate? Wouldn’t that allow for fast set-up, board-to-board flexibility, and low-cost prototyping?

    That’s why I was intrigued when I read in MAKE: about the Kickstarter efforts by Canada/China-based hardware startup Voltera to develop a low-cost printed circuit machine. It uses a silver nanoparticle conductive ink and places traces on a standard FR-4 board;

    While this unit cannot produce 20-layer boards with super-tight pitch, I think even a basic non-chemical, do-it-yourself PC-board “printer” would be useful for verifying a sub-circuit’s performance, evaluation of circuit designs, and more.

    I think it is great to have a unit which lets experimenters, hobbyists, and school programs make their own boards and get involved in circuit design. Too often, IMO, hands-on circuit engineering is frustrating due to the challenges of getting prototyping beyond simple “protoboards,” along with today’s super-tiny discrete components and large IC with dozens of leads around and even under the package. As a result, much of the engineering experience is reduced to writing code for apps or programming assembled, purchased hardware (although companies such as adafruit do have some very nice kits and project material).

    Reply
  24. Tomi Engdahl says:

    Scenes from the desktop manufacturing revolution, part 1
    http://www.edn.com/electronics-blogs/diy-zone/4440655/Scenes-from-the-desktop-manufacturing-revolution–part-1-?_mc=NL_EDN_EDT_pcbdesigncenter_20151026&cid=NL_EDN_EDT_pcbdesigncenter_20151026&elq=a8585c6d055b436c8cd054daf709f9b4&elqCampaignId=25399&elqaid=28887&elqat=1&elqTrackId=9e4ddc53b8a7449e94d3dbbd4f6e4039

    While low-cost embedded computing platforms and other open-source hardware initiatives have dramatically cut the time and cost of product development, maker-preneurs still face several “speed bumps” as they attempt to move their designs from one-off prototypes to full-scale production units.

    For one thing, compressing the essential elements of an Arduino, Beagle Bone, or other dev board and the other electronics it’s driving into a single, compact PCB that’s suitable for high-volume production is still a daunting, and potentially expensive task. But help is on the way in the form of low-cost, maker-friendly manufacturing tools that can be used to quickly evaluate production-ready designs and, in many cases, support low-volume production.

    Reply
  25. Tomi Engdahl says:

    600-V MOSFETs gain power package option
    http://www.edn.com/electronics-products/other/4440688/600-V-MOSFETs-gain-power-package-option?_mc=NL_EDN_EDT_EDN_today_20151027&cid=NL_EDN_EDT_EDN_today_20151027&elq=2a44713b2640491fbe8e58c768d3f31d&elqCampaignId=25418&elqaid=28911&elqat=1&elqTrackId=6fd92adf5b5a4af89df47f53885a3857

    Vishay now offers its 600-V E series power MOSFETs in low-profile, surface-mount PowerPak 8×8-mm packages that are RoHS-compliant and both halogen- and 100% lead-free. The new package option also provides a space-saving alternative to conventional TO-220 and TO-263 housings.

    Based on energy-efficient superjunction technology, the devices provide on-resistance down to 0.135 Ω at 10 V

    The SiHH26N60E, SiHH21N60E, SiHH14N60E, and SiHH11N60E have a gate-source voltage of ±30 V and continuous drain current at 25°C of 25 A, 20 A, 16 A, and 11 A, respectively.

    http://www.vishay.com/ppg?91578

    Reply
  26. Tomi Engdahl says:

    Lumen – Eternal Flashlight That Doesn’t Need Batteries
    https://www.kickstarter.com/projects/940015402/lumen-eternal-flashlight-that-doesnt-need-batterie/

    Touch Lumen and heat of your body will be converted to Light! Everlasting light source that is always with you.

    WHAT IS LUMEN?

    It is an ordinary flashlight with brightness comparable to your smartphone’s except his main feature – it doesn’t need batteries and virtually eternal.
    HOW DOES IT WORK?

    Lumen has relatively simple work principle – you touch TEG (Thermoelectric Generator) – small ceramic bar that can produce electric current when we provide temperature difference between upper and lower parts of TEG.

    In my application difference between temperature of your body (about 98 °F) and environment (at least 82 °F) is enough to generate power for single led. Metal body of Lumen serve as a radiator.

    Reply
  27. Tomi Engdahl says:

    PCIe Update: Power, IoT, storage, OCuLink, simulation, and equalization
    http://www.edn.com/electronics-blogs/eye-on-standards/4439823/PCIe-Update–Power–IoT–storage–OCuLink–simulation–and-equalization

    Low power, IoT, mobile apps, and anticipation of Gen 4 headlined the 2015 PCI-SIG Developer’s conference—though traditional PCIe applications on HPC (high performance computing) and PC (not as high performance computing) platforms remained the standard’s leading role.

    First things first: PCIe stands for peripheral component interface express. It has been around for decades and shows every sign of fulfilling a prophecy made three years ago: “All future client-based storage attachments will use PCI-Express.”

    When we say IoT (internet of things), what we really mean is low power and big data from billions of networked gadgets.

    Ramin Neshati, Intel engineer and PCI-SIG’s Marketing Workgroup Chair, as well as the seer who made the above prophecy, emphasized that “The Low Power Initiative is not new!” Half swing specifications that operate at 400 mW have been around since the 2.5 Gb/s first generation of PCIe. There will be a new 200 mW quarter swing state included in Gen 4. Their goal for standby L1 sub-states is to get down to microwatts.

    PCIe has been going mobile for years with M-PCIe adapted to operate over MIPI’s low-power M-PHY.

    PCIe’s prowess stands out in moving the massive amount of data created by IoT doodads.

    Neshati’s prediction from 2012 about PCIe storage is embodied in NVMe (non-volatile memory express)—the spec for PCIe-SSD interconnects. The proliferation of SSD (solid state drive) storage renders several support features for spinning disks in SAS (serial attached SCSI; small computer system interface) and SATA (serial advanced technology attachment) nearly obsolete. Without that overhead, NVMe is a friendlier technology. Now add in SFF-8639 (small form factor) connectors that support everything, and PCIe makes an obvious hot-pluggable backbone for high-density SSD storage attachments.

    The spec covering the best acronym in the business, OCuLink (optical/copper link) is out for final review. Neshati said that it’s “pretty much done.” Expect to see version 1.0 of the spec this autumn. OCuLink specifies wide bandwidth (up to 32 Gb/s in PCIe gen 3) cables that can be many meters long. It’s up to the manufacturer whether they use fibers or wires, as long as the cables comply with the signal integrity specifications.

    As simulation has become recognized as the better, cheaper, faster way to design circuits, their accuracy has become an ever more important question. The question is usually phrased: “How do I know if my simulation correlates to reality?” but it extends to analysis of real signals that are buried on chips, inaccessible to probing.

    Reply
  28. Tomi Engdahl says:

    NAND flash devices target embedded designs
    http://www.edn.com/electronics-products/other/4440689/NAND-flash-devices-target-embedded-designs?_mc=NL_EDN_EDT_EDN_consumerelectronics_20151028&cid=NL_EDN_EDT_EDN_consumerelectronics_20151028&elq=e48d7137db544ae5bfb81620a6473423&elqCampaignId=25421&elqaid=28914&elqat=1&elqTrackId=70ec5aeb1211407392ecce0dc56ee82b

    Leveraging a Serial Peripheral Interface (SPI), Toshiba’s latest family of NAND flash memories consists of 12 devices, including a choice of three densities, two package options, and two power supply voltages. The 24-nm SLC NAND products come in densities of 1, 2, and 4 Gbits with either 1.8-V or 3.3-V supply voltages. Further, SPI compatibility enables the SLC NAND devices to be controlled with just six pins.

    While NOR flash memory is typically used in embedded consumer and industrial applications, the demand for SLC NAND flash is increasing, due to its high density, high reliability, and low bit cost. Toshiba’s serial-interface NAND memory products can be used in a broad range of consumer and industrial applications, including flat-screen TVs, printers, wearable devices, and robots.

    Memories furnish embedded ECC (error correction code) with bit flip count report;

    Reply
  29. Tomi Engdahl says:

    Mergers and Acquisitions: TI Looks to Snatch Up Maxim
    http://hackaday.com/2015/10/28/mergers-and-acquisitions-ti-looks-to-snatch-up-maxim/

    BloombergBusiness is reporting rumors that Texas Instruments is in talks to acquire Maxim Integrated.

    Earlier this year there were rumors that the two companies had been in talks in 2014 that didn’t result with an agreement.

    We find it interesting that the article mentions Maxim doesn’t need to scale — yet we often find Maxim parts in short supply.

    Texas Instruments Said in Talks to Acquire Maxim Integrated
    http://www.bloomberg.com/news/articles/2015-10-28/texas-instruments-said-in-talks-to-acquire-maxim-integrated

    Texas Instruments Inc., the biggest maker of analog chips, is in talks to acquire Maxim Integrated Products Inc., people with knowledge of the matter said.

    Maxim’s management, who also received interest from from Analog Devices Inc., may not be willing to sell unless they get a very high premium, said the people, who asked not to be identified because the information is private. The talks are continuing and may not result in a transaction

    The semiconductor industry is in the midst of a record year for mergers and acquisitions as companies get together to pool resources and get bigger in the face of a narrowing customer base and increasing costs.

    Texas Instruments, which competes with Maxim and Analog Devices in the analog chip market, extended its leadership in that area with its 2011 acquisition of National Semiconductor Corp. Texas Instruments has been absent from this year’s merger spree.

    For Texas Instruments, any prospective target would have to be an analog company that would fit with its concentration on generating cash. Texas Instruments has a market capitalization of $23.3 billion.

    Reply
  30. Tomi Engdahl says:

    Texan Ambiq Micro caused a sensation in micro-controller in the world at the beginning of this year by presenting the Apollo-circuit the family, whose power consumption readings were current drivers compared to a fraction. Now Ambiq about planning their profits, which in practice proves the power they use architecture.

    It is Misfit Shine activity wristband, a second-generation version is implemented Ambiqin Apollo circuit. As a result, the wristband has added many new features, but the operating time of battery has remained unchanged.

    Yet bracelet also acts as a battery for six months, such as the first-generation device. Processor low power consumption based on the SPOT technology (subthreshold Power Optimized Technology). In general, processors, transistors translated directly into a fully functional voltage that is currently 1.8 volts. Ambiqin controls only the threshold voltage (threshold voltage) e l i, the lowest voltage to the transistor still leads are used.

    Apollo controllers consume 5-10 times less power than the same performance bring other ARM controllers.

    Source: http://etn.fi/index.php?option=com_content&view=article&id=3526:virtapihein-ohjainpiiri-naytti-voimansa&catid=13&Itemid=101

    Reply
  31. Tomi Engdahl says:

    For decades, the conventional wisdom has been that, whenever possible, commercial off-the-shelf hardware (COTS) should be used and customized platforms should be avoided. And, in many cases that conventional wisdom is correct – lots of applications run on x86 servers; everything from accounting to wagering runs on commodity compute platforms. However, there is always an exception that tests the rule

    Source: http://intelligentsystemssource.com/parpro-whitepaper/

    Reply
  32. Tomi Engdahl says:

    LED-based time-of-flight IC for object detection and distance measurement
    http://www.edn.com/electronics-blogs/led-zone/4440653/LED-based-time-of-flight-IC-for-object-detection-and-distance-measurement?_mc=NL_EDN_EDT_EDN_today_20151029&cid=NL_EDN_EDT_EDN_today_20151029&elq=72eee0bd2ab0440c9305c6fabe3c30b4&elqCampaignId=25476&elqaid=28972&elqat=1&elqTrackId=24e3125fdb924c07ba53b6900491070f

    Although Intersil’s ISL29501 time-of-flight (ToF) signal processing IC doesn’t have anything to do with the lighting applications I normally cover, I felt compelled to bring it to your attention because it’s one of the most innovative LED applications I’ve seen this year. The device requires little more than an external emitter (LED or laser) and a photodiode to implement a complete object detection and distance measurement solution that provides precision long-range accuracy up to 2m in both dark and bright ambient light conditions.

    According to Intersil, the ISL29501 overcomes the shortcomings of traditional amplitude-based proximity sensors and other ToF solutions, most of which perform poorly in lighting conditions above 2,000 lux, or cannot provide distance information unless the object is perpendicular to the sensor.

    In order to perform accurate, low-power proximity detection and distance measurement at up to 2m, Intersil integrates several innovative design features within the ISL29501. This includes an on-chip DSP that calculates ToF and an active ambient light rejection circuit to minimize the influence of ambient light during distance measurement. To prevent interference with other consumer products such as IR TV remote controls that operate at 40kHz, the IC’s emitter DAC uses a modulation frequency of 4.5 MHz.

    The ISL29501 includes several power-saving innovations that can greatly extend battery life in handheld applications. The TX emitter driver output is programmable (up to 255 mA), allowing system designers to select the desired current level for driving the external infrared (IR) LED or laser.

    The ISL29501 signal processing IC is available now in a low profile 4x5mm, 24-lead TQFN package and is priced at $4.87 USD in 1k quantities.

    To make system design easy for customers, Intersil offers a reference design featuring the ISL29501, emitter, and photodiode, along with graphical user interface (GUI) software and user’s guide. The ISL29501-ST-EV1Z reference design board is completely characterized and available now, priced at $250 USD.

    ISL29501
    Time of Flight (ToF) Signal Processing IC
    http://www.intersil.com/en/products/optoelectronics/proximity-sensors/light-to-digital-sensors/ISL29501.html

    An on-chip Digital Signal Processor (DSP) calculates the time of flight, which is proportional to the target distance. The ISL29501 is equipped with an I2C interface for configuration and control.

    The ISL29501 is wavelength agnostic and permits the use of other optical wavelengths if better suited for applications.

    Reply
  33. Tomi Engdahl says:

    On February 10, 2014, the U.S. Department of Energy (DOE) published a revision to its EISA 2007 external power supply (EPS) efficiency standard, increasing the minimum efficiency requirements as well as expanding the range of products applicable under the new standard. Set to go into effect February 10, 2016, this law will have implications for any OEM that designs products with an external AC-DC power supply for the US market.

    Source: http://www.digikey.fi/en/product-highlight/c/cui/level-vi

    Reply
  34. Tomi Engdahl says:

    Engineers reveal record-setting flexible phototransistor
    http://phys.org/news/2015-10-reveal-record-setting-flexible-phototransistor.html

    Inspired by mammals’ eyes, University of Wisconsin-Madison electrical engineers have created the fastest, most responsive flexible silicon phototransistor ever made.

    The innovative phototransistor could improve the performance of myriad products—ranging from digital cameras, night-vision goggles and smoke detectors to surveillance systems and satellites—that rely on electronic light sensors. Integrated into a digital camera lens, for example, it could reduce bulkiness and boost both the acquisition speed and quality of video or still photos.

    While many phototransistors are fabricated on rigid surfaces, and therefore are flat, Ma and Seo’s are flexible, meaning they more easily mimic the behavior of mammalian eyes.

    “We actually can make the curve any shape we like to fit the optical system,” Ma says. “Currently, there’s no easy way to do that.”

    One important aspect of the success of the new phototransistors is the researchers’ innovative “flip-transfer” fabrication method, in which their final step is to invert the finished phototransistor onto a plastic substrate. At that point, a reflective metal layer is on the bottom.

    “In this structure—unlike other photodetectors—light absorption in an ultrathin silicon layer can be much more efficient because light is not blocked by any metal layers or other materials,”

    Read more at: http://phys.org/news/2015-10-reveal-record-setting-flexible-phototransistor.html#jCp

    Reply
  35. Tomi Engdahl says:

    Lithium-Air Might Be The Better Battery
    http://hackaday.com/2015/11/02/lithium-air-might-be-the-better-battery/

    Researchers at Cambridge University demonstrated their latest version of what is being called the Lithium-Air battery. It can be more accurately referred to as a Lithium-Oxygen but Air sounds cooler.

    The early estimates look pretty impressive with the energy density being 93% efficient which could be up to 10 times the energy density of Lithium-Ion and claims to be rechargeable up to 2,000 times.

    Here’s the rub: Lithium-Air batteries are still years away from being ready for commercial use. There are still problems with the battery’s ability to charge and discharge

    Reply
  36. Tomi Engdahl says:

    Software is eating the World: End-to-End Prototyping to the Rescue
    https://webinar.techonline.com/1069?keycode=CAA1AC&elq_mid=7362&elq_cid=303473

    Over the last decade the role of electronics in our daily life has changed dramatically. Common to all these new embedded technologies is their increasing ability to process information and communicate with the surrounding environment, machines and users. What makes it all possible is the advancement in silicon complexity with the availability of multicore processing architectures and a huge amount of software embedded into these products to offer a continuous beat of new or improved functionality.

    Companies have been adapting their processes to provide more functionality through software and improve the impact of software on the performance and power consumption of the end product while at the same time reducing the software schedule dependency from hardware availability.

    Reply
  37. Tomi Engdahl says:

    Building Memristors For Neural Nets
    http://hackaday.com/2015/11/03/building-memristors-for-neural-nets/

    Most electronic components available today are just improved versions of what was available a few years ago. Microcontrollers get faster, memories get larger, and sensors get smaller, but we haven’t seen a truly novel component for years or even decades. There is no electronic component more interesting with more novel applications than the memristor, and now they’re available commercially from Knowm, a company that is on the bleeding edge of putting machine learning directly onto silicon.

    The entire point of digital circuits is to store information as a series of ones and zeros. Memristors as well store information, but do so in a completely analog way. Each memristor changes its own resistance in response to the current going through it; ‘writing’ a positive voltage lowers the resistance, and ‘writing’ a negative voltage puts the device back into a high resistance state.

    Knowm Memristor Capable of Bi-Directional Learning Press Release
    http://knowm.org/knowm-memristor-capable-of-bi-directional-learning-press-release/

    Reply
  38. Tomi Engdahl says:

    Sony prepares to lop off semiconductor biz
    Devices division set for overhaul to also include batteries and storage
    http://www.theregister.co.uk/2015/10/06/sony_spinoff/

    Sony is reorganizing its devices division, and spinning off its semiconductor business.

    The Japanese electronics giant said that on April 1, 2016, Sony Semiconductor Solutions will begin operating as its own business with manufacturing, R&D, and sales operations. The new business will continue to operate as part of the Sony Group of companies.

    “The aim of this new structure is to enable each of the three main businesses within this segment, namely the semiconductor, battery, and storage media businesses, to more rapidly adapt to their respective changing market environments and generate sustained growth,” Sony said in announcing the move.

    Central to the new business will be Sony’s imaging sensors operation. The wildly successful sensor business develops the image sensors for cameras – ranging from smartphones to surveillance equipment – and has become a cash cow.

    Sony is estimated to have a 40 per cent share of the entire imaging sensor market.

    Meanwhile, Sony said its battery operation will continue to operate with its current structure as Sony Energy Devices Corporation.

    Reply
  39. Tomi Engdahl says:

    Intel Offers More Insight On Its 3D Memory
    http://hardware.slashdot.org/story/15/11/04/1742230/intel-offers-more-insight-on-its-3d-memory?utm_source=feedburner&utm_medium=feed&utm_campaign=Feed%3A+Slashdot%2Fslashdot%2Fto+%28%28Title%29Slashdot+%28rdf%29%29

    When Intel and Micron Technology first announced the 3D XPoint memory in July, they promised about 1,000 times the performance of NAND flash, 1,000 times the endurance of NAND flash, and about 10 times the density of DRAM. At OpenWorld last week, Intel CEO Brian Krzanich disclosed a little more information on the new memory, which Intel will sell under the Optane brand, and did a demo on a pair of matching servers running two Oracle benchmarks.

    Intel offers more insight on its 3D memory
    http://www.itworld.com/article/3000877/hardware/intel-offers-more-insight-on-its-3d-memory.html

    The company is promising to close the performance gap between memory, CPU, and networking.

    While the news of Oracle’s new M7 Sparc processors got the lion’s share of attention at last week’s Oracle OpenWorld, Intel made some pretty big news of its own that a lot of people missed.
    Today’s top stories

    Jobs and salaries in cybersecurity are booming
    Intel offers more insight on its 3D memory
    What do your photos know about you?
    8 of the most unsettling things you’ll find on the darknet

    See More

    Intel and Micron Technology announced 3D XPoint memory, a 3D stacked memory with extremely high-speed interconnects that can be used like DRAM and like flash storage. When they first announced the new memory last summer, they promised about 1,000 times the performance of NAND flash, 1,000 times the endurance of NAND flash, and about 10 times the density of DRAM.

    As systems are currently architected, memory is something of a bottleneck and CPUs are left to wait. Granted we’re talking milliseconds but you get the point. Krzanich said Optane would help speed things up so processors are no longer waiting for data to arrive from memory or storage, in this case flash drives.

    Krzanich did a demo on a pair of matching servers running two Oracle benchmarks. One server had Intel’s P3700 NAND PCI Express SSD, which is no slouch of a drive. It can perform up to 250,000 IOPS per second. The other was a prototype Optane SSD. The Optane SSD outperformed the P3700 by 4.4 times in IOPS with 6.4 times less latency.

    In a second, undisclosed test, Optane was 7.13 times faster than the current tech with 8.11x the latency performance

    Krzanich said Optane is coming next year and will “transform how we think about data and memory and storage.” The company will also come out with Optane DIMMs later this year for early testers, which will combine the performance of DRAM with the capacity and cost of flash.

    Intel Shows Off 3D XPoint Memory Performance
    http://www.nextplatform.com/2015/10/28/intel-shows-off-3d-xpoint-memory-performance/

    Reply
  40. Tomi Engdahl says:

    Cell-aware test can be “Awarding”
    http://www.edn.com/electronics-blogs/absolute-eda/4440750/Cell-aware-test-can-be–Awarding-?_mc=NL_EDN_EDT_EDN_today_20151105&cid=NL_EDN_EDT_EDN_today_20151105&elq=8f8fc0e047da4228a3757a56a2c69f2a&elqCampaignId=25586&elqaid=29116&elqat=1&elqTrackId=cf025aa1632d49f6a8cd70211f265dee

    the test community should know the inside story of Cell-Aware Test (CAT).

    Could you provide a high-level description of CAT?

    The CAT approach enables a transistor-level, defect-based automatic test pattern generation (ATPG) on full CMOS-based designs to significantly reduce the defect rate of manufactured ICs, including FinFET technologies. Creating a CAT view is a one-time task performed for each technology node. The CAT views are created by performing analog simulations on each cell within the standard cell libraries. This CAT view is then used by design teams to generate production test patterns that result in significantly higher pattern quality than traditional production test patterns. Traditional fault models fully test the periphery of standard cells and the interconnections between them, but they do miss many bridges, opens, and transistor defects internal to the cells. Advanced process technologies, notably the use of FinFETs, introduce a variety of new failure modes that are addressed by the CAT fault model. CAT also enables Cell-Aware Diagnosis to isolate cell-internal defects that provides guidance for physical failure analysis.

    What are the key benefits that CAT provides?

    A key benefit provided by CAT is the significantly higher quality CMOS ICs delivered from semiconductor companies to their customers, due to the substantial reduction of defective-parts-per-million (DPPM). An associated benefit to the semiconductor companies is the ability to perform a Cell-Aware Diagnosis that isolates real physical, cell-internal defects in order to guide physical failure analysis and to achieve fast yield ramp-up for new technologies.

    How many semiconductor companies have embraced this technology?

    A large number of companies use CAT and that number increases each year. In “Cell-Aware Test” published in the September 2014 issue of IEEE Transactions on Computer-Aided Design, I acknowledge experts from 12 leading semiconductor companies who validated CAT and who assisted my team by specifying advanced tool features.

    CAT is a superset of the traditional SA and Transition tests, so CAT eliminates the need for these tests completely.

    What is the next area of research to extend the CAT technology?

    There are various research topics underway. One example is to attempt to further improve the quality of CAT and the Cell-Aware Diagnosis accuracy by taking Design for Manufacturing (DFM) aspects into account.

    Reply
  41. Tomi Engdahl says:

    Lithium-air: A battery breakthrough explained
    Leaky cell leads to potentially excellent new tech
    http://www.theregister.co.uk/2015/11/05/lithium_air/

    In the quest for smaller, longer-lasting, more powerful batteries, scientists have tried many alternative approaches to battery chemistry. One may have just produced the breakthrough we’re waiting for.

    The urban legend is that there was a small leak in a battery cell that chemist K M Abraham was testing in his laboratory in 1995, which provided the cell with a far higher energy content than expected.

    Rather than try to fix the leak, Abraham investigated and discovered the first rechargeable lithium-air (Li-air) battery. So far this discovery hasn’t led to any technically viable products, but a paper published in Science from a University of Cambridge research group may be about to change that.

    In 2008, Tesla amazed industry watchers with its bold, electric Roadster car that ran on off-the-shelf lithium-ion (Li-ion) batteries, the sort that power everything from smartphones to laptops to cameras and toys. Since then, not only has the market for electric vehicles quickly grown, but so has the average range of the batteries that power them.

    However that growth needs to accelerate: from 1994 it took 20 years to triple the energy content of a typical Li-ion battery. The new research, led by professors Gunwoo Kim and Clare Grey, experimented with Li-air cells that use only an electron conductor, such as lightweight, porous carbon, instead of a metal-oxide typically used in a Li-ion battery. Practically speaking, this saves a lot of weight, but brings its own difficulties.

    How Lithium-air batteries work

    A Li-air cell creates voltage from the availability of oxygen molecules (O2) at the positive electrode. O2 reacts with the positively charged lithium ions to form lithium peroxide (Li2O2) and generate electric energy. Electrons are drawn out of the electrode and such a battery is empty (discharged) if no more Li2O2 can be formed.

    However, Li2O2 is a very bad electron conductor.

    How will Li-air change things?

    This process which ensures the electrode surface is kept clear is essential to boost battery capacity. However, the drawback is that the same lack of electrical contact between the electrode and the discharge product that boosts its capacity should in principle make it difficult to recharge.

    Again, it turns out the lithium iodide additive is the missing ingredient needed

    The study’s authors present data that are approaching an energy efficiency of around 90 per cent – which brings this new battery technology close to that of conventional Li-ion batteries.

    Their findings reveal a promising way forward for Li-air technology

    Reply
  42. Tomi Engdahl says:

    China decides to cook its own chips – report
    $12bn to fry up some memory dies
    http://www.theregister.co.uk/2015/11/09/china_decides_to_make_its_own_chips/

    Chinese government-owned Tsinghua Unigroup, having failed to buy Micron, is going to make its own memory chips.

    The WSJ reports that TU will spend $12bn-plus to build a fab and make acquisitions. It’s being positioned as part of China’s long term goal of being less dependent on Western technology.

    The acquisitions will be made by a newly set up subsidiary called Tongfang Guoxin Electronics (TGE), which has a filing on the Shenzhen stock exchange. The report suggests it could acquire IP needed to manufacture memory chips. The TGE filing said it would raise $12.6bn in a private placement with funds coming from TU and TU chairman Zhao Weiguo’s investment company.

    Reply
  43. Tomi Engdahl says:

    The Chippocolypse! Or however you spell it! TI is declaring a lot of chips EOL, and although this includes a lot of op-amps and other analog ephemera (PDF), the hi-fi community is reeling and a lot of people are stocking up on their favorite amplifiers.

    Topic: The Chippocolypse is coming!
    http://www.eevblog.com/forum/projects/the-chippocolypse-is-coming!/

    PTN# 20150901004
    Withdrawal of the Select Devices Sourced in GFAB6 Product Withdrawal/Discontinuance Notification
    http://www.proaudiodesignforum.com/images/pdf/ti_20150901004_09292015_eol.pdf

    Reply
  44. Tomi Engdahl says:

    Embedded systems face design, power, security challenges
    http://www.edn.com/design/systems-design/4440758/Embedded-systems-face-design–power–and-security-challenges-?_mc=NL_EDN_EDT_EDN_today_20151109&cid=NL_EDN_EDT_EDN_today_20151109&elq=8f262274cea14fcb905f80c54dd0c35b&elqCampaignId=25616&elqaid=29155&elqat=1&elqTrackId=47132a81d02343df8a88b87547789381

    As the market for embedded systems grows dramatically, all eyes are turning to embedded systems designers who are tasked with combining microprocessors, connectivity, and operating systems that span a wide range of applications from the tiniest IoT device to those embedded in large networking systems.

    According to IDC, the market for intelligent systems will grow from 1.4 billion units this year to more than 2.2 billion in 2019. While marketers and financiers may be salivating over the prospects for revenue, what does this really mean for the embedded system designer? From my armchair view, I could easily guess that these challenges likely center on the perennial challenges that electrical engineering designs face: size, cost, power, and time to market

    The biggest design concerns Shore sees are “getting to grips with multicore platforms, implementing secure systems in IoT, resilient and reliable programming, and energy efficient development.” To be sure, these are topics that are regularly addressed in embedded trade journals and conferences. Considering the entire development cycle, Prestridge points to shortened time to market versus increased design functionality as a critical challenge. This is a common pain point in a market that is getting “hot” with many new players entering the space.

    One of the classic trade-offs is between power and performance. How is this being addressed in embedded design? Shore offers some tips:
    • carefully architect software to take advantage of the facilities provided by the hardware
    • ensure that you understand exactly what the hardware is doing at all times
    • have a deep knowledge of power saving facilities provided by your platform
    • design your software (from algorithms down to machine code) carefully and conscientiously
    • design interrupt handlers carefully

    Security
    You cannot seem to have a conversation about the IoT these days without discussing security or the latest automobile hack. Shore notes that the challenges of security in the IoT need to be met not only in hardware and architecture, but also in software design. As time goes on, “security is only going to become more important for us,” adds Shore.

    Prestridge notes that (despite the noteworthy hacks lately) the automotive industry has been working on security for years, as has the medical and aerospace industry. It is only relatively recently that security has become a concern for commercial and durable goods. Prestridge outlines the challenge: “Functional safety-certified tools aren’t enough; code analysis tools (both static and runtime) can help ferret out potential security issues by spotting things like the classic buffer overrun exploit before the design gets in the field. By using code analysis tools, developers can prevent these problems before they ever get checked into a build. And by selecting a pre-certified tool that has already been quality-tested by an independent third-party organization specialized on safety requirements, entire companies can save valuable time and money.”

    Reply
  45. Tomi Engdahl says:

    5nm test chip taped out by imec, Cadence
    http://www.edn.com/electronics-products/other/4440745/5nm-test-chip-taped-out-by-imec–Cadence?_mc=NL_EDN_EDT_EDN_productsandtools_20151109&cid=NL_EDN_EDT_EDN_productsandtools_20151109&elq=23b604787da7418d8e013e2ff7acd9f8&elqCampaignId=25620&elqaid=29159&elqat=1&elqTrackId=06cc71852a4f408ba2477dfec5edffa9

    Nanoelectronics research center imec and EDA company Cadence Design Systems Inc. have announced that they have completed the first tape out of a test chip to be built using a 5nm manufacturing process.

    The tape out is aimed at a process that includes both extreme ultraviolet (EUV) lithography as well as 193nm immersion lithography.

    There are no active devices in the tape out, which is just back-end-of-line patterning for metal 2 and metal 3 and the cuts, links and via structures between them. The target transistor is a FinFET

    Imec and Cadence are using a mix of self-aligned quadruple patterning and EUV lithography. Metal pitches were scaled from the nominal 32nm pitch to 24nm pitch to push the limit of patterning.

    Reply
  46. Tomi Engdahl says:

    FPGA maker Altera has introduced a system for the district in which the same housing, for the first time cramming a powerful programmable circuit and the fast DRAM memory. The solution increases memory performance up to 10-fold compared to previous solutions, in which the DRAM circuit is a separate FPGA’s side.

    Altera SiP housing (System-in-Package) to connect to the same shells in 10 Stratix Series FPGA and SK Hynix very fast DRAM circuit. A similar solution has been seen before.

    Altera is also the first manufacturer to bring 3D stacked memory directly to the FPGA in parallel. T

    Altera chip FPGA chip is connected via a DRAM memory developed by Intel EMIB bus (Embedded Multi-Die Interconnect Bridge). This is a very dense bridge circuit, which connects the chips within the same housing.

    Source: http://etn.fi/index.php?option=com_content&view=article&id=3577:alteralta-mullistava-piiriuutuus&catid=13&Itemid=101

    Reply
  47. Tomi Engdahl says:

    Power analyzer measures I-V curves
    http://www.edn.com/electronics-products/other/4440756/Power-analyzer-measures-I-V-curves?_mc=NL_EDN_EDT_EDN_today_20151110&cid=NL_EDN_EDT_EDN_today_20151110&elq=a71fb7cf88404f23aaa6987b8b9e3ee8&elqCampaignId=25640&elqaid=29181&elqat=1&elqTrackId=9229f9547f6c4cdd8ec06306253a4f3f

    According to Keysight Technologies, three curve-tracer packages enable its B1506A power device analyzer to replace conventional curve tracers. The manufacturer also reports that the capabilities of the packages exceed those of conventional curve tracers at a comparable price, delivering fully automated current-voltage measurements at up to 3 kV/1500 A and thermal test from -50°C to +250°C.

    I-V curve-tracer packages for the B1506A are available now. Prices start at $60,000 for the 20-A package (Opt H20).

    B1506A Power Device Analyzer/Curve Tracer for Circuit Design
    http://www.keysight.com/en/pd-2404038-pn-B1506A/power-device-analyzer-for-circuit-design?nid=-32875.1088486.00&cc=FI&lc=fin&cmpid=zzfindb1506a

    Reply
  48. Tomi Engdahl says:

    Scaling Down Semi Process Nodes for IoT Apps
    http://www.eetimes.com/document.asp?doc_id=1328209&

    In recent months, some major semiconductor companies and IC foundries have announced that they have scaled down transistor sizes in ICs to as little as 14 nanometers, setting the stage for the next step in reducing size and cost of Internet of Things system-on-chip designs.

    Not so fast, said Tom Starnes, semiconductor industry analyst at Objective Analysis. He points out that most of these announcements have more to do with standard microprocessor architectures and are unrelated to the requirements of Internet of Things (IoT} devices.

    “These are mainly digital systems and while scaling to such geometries there is not easy, it is child’s play next to what is necessary in microcontroller-based IoT devices,” he said.

    MCU-based SoCs are a mix of not only digital components but also large amounts of analog functionality, wireless RF circuitry, flash and static RAM — none of which scale as easily or predictably as digital transistors.

    “We will eventually have a viable market for MCU-based SoCs for the IoT which will be able to make use of process node scaling to 14nm to 20nm or smaller, but not right now,” Starnes said.

    “Wireless connectivity, an integrated MPU, low power operation, low-leakage SRAM, and nonvolatile memory (NVM) IP make the process technology choice critical,” Kumar added. “These IoT SoCs do not chase Moore’s law in the same manner that predominantly digital SoCs do.”

    Silicon Labs is now manufacturing its 32-bit ARM-based wireless SoCs in 90nm, and Kumar said the company does not see any urgency in pushing its process nodes any further in the near term.

    “Complex, energy-efficient RF design for wireless connectivity, as well as analog functions used for sensing or connecting to a low-voltage current sensor, are as critical as the IoT SoC’s digital performance,” said Kumar. “These SoCs are not going into desktop PCs, mobile PCs, tablets or even handsets where power consumption is less critical compared to an IoT end node.

    “IoT SoCs are used in wireless applications that often run on coin cell batteries with a five to 10 year lifetime,”

    Reply
  49. Tomi Engdahl says:

    Printed Electronics Make a Mark
    Novel products emerge at annual event
    http://www.eetimes.com/document.asp?doc_id=1328206&

    It’s time for engineers to get printed electronics out of the lab and dream up new products using their capabilities, according to an expert following the field. A handful of companies including Qualcomm are starting to do just that said Raghu Das, chief executive of IDTechEx who will host an annual event on the topic here this month.

    Printed electronics “has been a long time coming, it’s long been embryonic…but now companies realize they have to make new products not replace existing ones,” said Das. “There’s an element of creative product design needed to make it take off, the capability is there…but there’s a lack of clarity on what products people will want,” he added.

    At this year’s event, Qualcomm will show a printed electronics label that will gather data from a golf club to deliver feedback on a player’s game to his smartphone. Thin-film battery maker Blue Spark Technologies will show a child’s bandage that can deliver temperature information to a parent’s handset, and another company will show a vest that uses printed electronics to send information about a baby’s respiration and sleep.

    “There will be a stream of products like this,” said Das who oversees a team 20 of tech analysts.

    The U.S. is to some extent playing catch up. “The European Union alone has spent about 200 million euros in printed electronics technology in addition to funding from national programs,” Das said.

    Reply
  50. Tomi Engdahl says:

    Micron Persistent Memory Pairs RAM/Flash
    http://www.eetimes.com/document.asp?doc_id=1328227&

    Micron Technologies Inc. (Boise, Idaho) has combined a digital-in-line memory (DIMM) board with an self-backup-powered (with a super-capacitor) solid-state drive (SSD) to create a new memory architecture that the company claims can boost up-time.

    It is Micron’s first foray into “persistent memory”–a type of memory that cannot be destroyed come rain-snow-sleet or the resultant power outages. The theory goes that by building double-backups into each standard dual-inline-memory-module (DIMM) used by computers from PCs to supercomputers–brown-outs from the likes of summer AC-overload to power surges from acts-of-god like lightening strikes, cannot bring down your system.

    Persistent memory is especially useful for the trend of “in-memory” computing, where all the data and algorithms are loaded into a gigantic memory space (sometimes taking days or even weeks) before execution, so no SSDs or hard-disk drives (HDDs) slow down the fastest programs in the world–from modeling the most efficient internal combustion engines to stewardship of our nuclear arsenal. (I told them Sony’s PS3 had been doing in-memory computing for a long time before it became trendy.)

    “Micron is filling in the gap between DRAM and non-volatile memories like flash,” Ryan Baxter, a director of marketing for CNBU at Micron told EE Times. “With so-called ‘persistent memory’ latency is cut to a minimum with speedy DRAM reads combined with the nonvolatile security of flash that can be emergency backed-up in seconds instead of hours, days or even weeks.”

    Reply

Leave a Reply to Tomi Engdahl Cancel reply

Your email address will not be published. Required fields are marked *

*

*